Accellera

De la Viquipèdia, l'enciclopèdia lliure
Infotaula d'organitzacióAccellera Systems Initiative
Dades
Tipusorganització Modifica el valor a Wikidata
Camp de treballestàndards
Història
Creació2000

Lloc webwww.accellera.org

Accellera Systems Initiative (Accellera) és una organització d'estàndards que admet una combinació d'estàndards d'usuari i proveïdor i desenvolupament d'interfícies obertes en l'àrea de l'automatització del disseny electrònic (EDA) i el disseny i la fabricació de circuits integrats (IC). Està menys restringit que l'Institut d'Enginyers Elèctrics i Electrònics (IEEE) i, per tant, és el punt de partida de molts estàndards. Un cop madurs i adoptats per la comunitat més àmplia, els estàndards solen ser transferits a l'IEEE.[1]

L'any 2000, Accellera es va fundar a partir de la fusió d'Open Verilog International (OVI) i VHDL International, els desenvolupadors de Verilog i VHDL respectivament. Tots dos es van formar originalment nou anys abans, el 1991.

El juny de 2009, es va anunciar una fusió entre Accellera i The SPIRIT Consortium, una altra organització d'estàndards important d'EDA centrada en el desplegament i la reutilització de la IP.[2] El Consorci SPIRIT va obtenir SystemRDL de la SystemRDL Alliance[3] i després va desenvolupar IP-XACT. La fusió es va completar l'abril de 2010.[4] SPIRIT significava "Structure for Packaging, Integrating and Re-using IP within Tool-flows".

Els següents estàndards EDA desenvolupats per Accellera van ser ratificats per IEEE el 2019:[5]

Les iniciatives EDA següents van ser desenvolupades per Accellera:

Referències[modifica]