Usuari:Mcapdevila/Oblia (electrònica)

De la Viquipèdia, l'enciclopèdia lliure
No s'ha de confondre amb oblia (galeta).
Una oblia de silici gravada

A l'entorn de la microelectrònica, una oblia és una fina planxa de material semiconductor, com per exemple cristall de silici, sobre la qual es construeixen microcircuits mitjançant tècniques de dopat ( per exemple, difusió o implantació de ions), gravat químic i deposició de diversos materials. Les oblies tenen, d'aquesta manera, una importància clau en la fabricació de dispositius semiconductors com ara els circuits integrats.

Es fabriquen en diferents mides que van des de 1 polzada (25,4 mm) a 11,8 polzades (300 mm) i calibres del ordre de mig mil·límetre. Generalment s'obtenen mitjançant el tall de grans cilindres de material semiconductor utilitzant discs de diamant per a després ser polides per una de les seves cares.

Les cares poden utilitzar per marcar el dopat o l'orientació cristal·logràfica. Les zones vermelles són parts de material retirades.

A les oblies per sota de 200 mm normalment s'indiquen els plànols cristal·logràfics d'alta simetria mentre que en les antigues (les que tenen diàmetre inferior a 100 mm) s'indiquen l'orientació de l'oblia i el tipus de dopatge (vegeu il·lustració). Les oblies modernes compten amb una osca per referir aquesta informació, evitant així el malbaratament de material [1].

L'orientació és important en tant que moltes de les propietats electròniques i estructurals dels vidres simples són altament anisotròpics. Per exemple, la formació de plans definits en els vidres en les oblies només passa en algunes adreces concretes. Gravant les oblies en aquestes direccions facilita la seva posterior divisió en xips individuals de manera que els milers de milions de elements d'una oblia mitjana poden ser separats en multitud de circuits individuals.

Integració[modifica]

A partir de les oblies s'inicia el estampat en superfície dels circuits integrats mitjançant fotolitografia, nanolitografia i altres tècniques.

Test de les oblies[modifica]

El testeig de les oblies té lloc durant la fabricació dels dispositius semiconductors. En aquest pas, realitzat abans de la divisió de l'oblia, es proven tots els circuits integrats individuals presents en ella per comprovar que no hi hagi errors funcionals. Aquestes proves són portades a terme per un dispositiu especial anomenat provador d'oblies o wafer prober , sent les més comunes: Wafer Sort (WS), Wafer Final Test (WFT), Electronic Die Sort (EDS) i Circuit Probe ( CP). [2]

Quan un xip concret supera tots aquests patrons de prova, s'emmagatzema la seva posició en l'oblia per al seu ús posterior durant la fase d'encapsulació. De vegades un xip compta amb recursos interns de recanvi disponibles per a una eventual reparació (per exemple, els CI dedicats a memòria flash). Si un xip no supera les proves, es poden utilitzar aquestes parts, però si no és possible aplicar la redundància de components o aquesta no soluciona el problema, el xip és considerat defectuós i es descarta. Els circuits no vàlids es solen marcar amb una petita gota de tinta al centre, així com en un fitxer que funciona com a mapa de l'oblia anomenat wafermap on es classifiquen els xips com a vàlids o no. Aquest fitxer és enviat llavors a la zona d'ensamblatge de xips, on només prenen els circuits marcats com adequats.

En alguns casos molt específics, un xip que passa només algunes de les proves pot ser utilitzat igualment, però amb certes limitacions. L'exemple més comú d'això és un microprocessador en el qual només una part de la memòria cau integrada funciona. En aquest cas, el processador pot ser venut com a component de baix cost en comptar amb menys memòria i per tant, proporcionar un menor rendiment. D'altra banda, una vegada que els xips defectuosos han estat identificats, aquests poden ser emprats pel personal de la cadena de producció per a proves del sistema d'assemblatge.

Els continguts de tots els patrons de prova i la seqüència en que són aplicats a un circuit integrat formen part del que es coneix com a programa de prova.

Un cop empaquetat, els xips seran comprovats de nou durant la fase de prova del circuit integrat, normalment amb patrons iguals o molt similars als anteriors. Per aquest motiu, es pot pensar que la comprovació de les oblies és innecessària i redundant. En realitat no és així, ja que la retirada dels xips defectuosos suposa un important estalvi en no empaquetar en la següent fase dispositius defectuosos. No obstant això, en cas que les proves de les oblies resultin més cares que l'embalatge dels dispositius defectuosos pot saltar-se el primer pas, passant tots els xips a la fase d'acoblament.

Estàndards de mida[modifica]

Adaptació de [3]

  • 1 polzada.
  • 2 polzades (50,8 mm). Calibre 275 µm.
  • 3 polzades (76,2 mm). Calibre 375 µm.
  • 4 polzades (100 mm). Calibre 525 µm.
  • 5 polzades (125 mm). Calibre 625 µm.
  • 6 polzades (150 mm). Calibre 675 µm.
  • 8 polzades (200 mm). Calibre 725 µm.

Referències[modifica]

Enllaços externs[modifica]

A Wikimedia Commons hi ha contingut multimèdia relatiu a: Mcapdevila/Oblia


  1. Detalls sobre els semiconductors (en anglès) - Facultat d'Enginyeria. Universitat de Kiel, Alemanya.
  2. Les oblies de silici (en anglès) - Maviye.com
  3. Estàndard Federal 1037C dels Estats Units d'Amèrica
  4. Especificacions de les oblees de silici (en anglès) - SemiWafer.com
  5. Kemi Silicon - Subministrador internacional d'oblees de silici.