AMD Zen

De la Viquipèdia, l'enciclopèdia lliure
Infotaula equipament informàticAMD Zen
DesenvolupadorAMD Modifica el valor a Wikidata
Característiques de CPUs
GeometriaFinFET i 14 nanòmetres Modifica el valor a Wikidata
Conjunt d'instruccionsAMD64 (x86-64)
Zen+ Modifica el valor a Wikidata

Zen és el sobrenom per una futura microarquitectura per a processadors d'AMD, prevista per a principis de 2017.[1] Les primeres CPUs basades amb cores Zen, conegudes amb el sobrenom de Summit Ridge, estan previstes que surtin al mercat a principis de 2017, seguint-les les CPUs per a servidors, conegudes com a Naple, i finalment, les APUs basades amb Zen conegudes com a Raven Ridge a partir de la segona meitat de 2017.[2]

L'arquitectura del Zen és un disseny que difereix totalment de la seva família d'arquitectures predecessor, la Bulldozer (15a família). Els processadors basats amb Zen utilitzen un procés de FinFET de 14nm, que és més eficient i comporta una millora respecte l'IPC. S'introdueix l'SMT, permeten així a cada core suportar dos threads. El sistema de caches també s'ha redissenyat, canviat la cache a L1 de write-through a write-back. A més, els processadors Zen utilitzaran el socket AM4, suportant també DDR4.[3]

Zen

Disseny[modifica]

Segons AMD, el principal objectiu dels Zen serà incrementar el rendiment per core.[4][5][6]

  • La cache a L1 s'ha canviat de write-through a write-back, permetent així una major amplada de banda, i alhora, una menor latència.
  • L'arquitectura SMT (Simultaneous Multithreading) permet 2 threads per core, un gran canvi respecte el disseny CMT (Clustered Multithreading) utilitzat a les arquitectures prèvies Bulldozer.[7]
  • Nova cache de microoperacions més gran.
  • Gairebé el doble d'amplada de banda tant a L1 com a L2, 5 vegades més d'amplada de banda a L3.
  • Millora a la predicció de salt utilitzant un sistema similar al de la microarquitectura Bobcat,[8] comparat a una xarxa neuronal segons l'enginyer d'AMD Mike Clark.[9]
  • Predictor de salt desacoblat de l'etapa de fetch.

L'arquitectura Zen estarà construïda utilitzant un procés de FinFET de 14nm subcontractat a GlobalFoundries,[10] donant una major eficiència que els processos de 32 i 28nm.[11] Les CPUs Summit Ridge utilitzaran el socket AM4, suportaran DDR4 i el seu TDP serà de 95W.[11]

Cada core Zen pot descodificar quatre instruccions per cicle de clock i inclou una cache de microoperacions que alimenta dos planificadors, un pels segments d'enters i un altre pels segments de coma flotant.[12][13] Cada core té dues unitats de generació d'adreces, quatre unitats d'enters i quatre unitats de coma flotant. D'aquestes quatre unitats de coma flotant, dues són sumadores (adders) i les altres dues son multiplicadores (multipliers). També hi ha millores al predictor de salt. La cache a L1 és de 64KiB per instruccions i 32KiB de dades. La cache a L2 és de 512KiB per core, mentre que la L3 és de 1-2MB per core. La cache a L3 ofereix 5 vegades més amplada de banda que les caches L3 de les versions prèvies de dissenys d'AMD.

Història i desenvolupament[modifica]

AMD va començar a planejar l'arquitectura Zen poc després de tornar a contractar Jim Keller a l'agost de 2012.[14]

L'equip encarregat del projecte Zen estava dirigit per Keller fins a la seva marxa el setembre del 2015 després de 3 anys.[15]

Zen estava inicialment pensat pel 2017, seguint el core basat amb ARM64, el K12, però durant el Financial Analyst Day de 2015 d'AMD es va revelar que el K12 havia sigut endarrerit a favor del Zen, permetent així la seva entrada al mercat durant el 2016,[16] amb el llançament del primer processador basat amb Zen a l'octubre de 2016.[17]


Al novembre de 2015, una font dins d'AMD va reportar que els microprocessadors Zen s'havien provat complint totes les expectacions sense cap coll d'ampolla trobat.[18][19]

El desembre de 2015, es va rumorejar que AMD havia contractat Samsung per a fabricar els seus processadors de FinFET de 14nm, incloent ambdós Zen i la seva futura arquitectura GPU Polaris.[20] AMD ho va fer oficial el juliol de 2016, anunciant així que el producte havia estat fabricat satisfactòriament per Samsung. Mentre que AMD va esmentar que utilitzaria Samsung si fos necessari, també va argumentar que això faria reduir el risc per AMD per disminuir la dependència en una sola empresa.[21]

Avantatges sobre els predecessors[modifica]

El disseny del Zen és notablement diferent al dels seus predecessors, amb una gran quantitat de canvies i millores dutes a terme amb esperances de fer que el Zen sigui més competitiu amb les arquitectures d'Intel.

Procés de fabricació[modifica]

Els processadors fabricats utilitzant Zen seran de FinFET de 14nm.[22] Aquests processadors seran fabricats per GlobalFoundries,[23] tot i que alguns reports indiquen que alguns processadors podrien ser fabricats per TSMC.[24] Abans dels Zen, el procés més petit de fabricació d'AMD era de 28nm, utilitzat tant a les arquitectures Steamroller com a les Excavator.[25][26] Pel que fa a les de la competència, les arquitectures Skylake i Kaby Lake d'Intel també estan fabricades amb FinFET de 14nm,[27] tot i que Intel ja està planejant treure les de 10nm al 2017.[28]

Rendiment[modifica]

Un dels principals objectius dels Zen és centrar-se en el rendiment per core, amb la idea d'aconseguir una millora del 40% d'IPC respecte el seu predecessor.[29] Per tenir una comparació, Excavator va oferir entre un 4 i un 15% de millora respecte la seva predecessora, Steamroller.[30][31] La inclusió de SMT permet que cada core pugui processar dos threads, incrementant així el rendiment de processat utilitzant millor els recursos disponibles.

AMD va fer una prova en directe utilitzant una CPU Summit Ridge de 8 cores/16 threads, aconseguint igualar el rendiment d'un Intel Broadwell-E en uns benchmarks de renderitzat de Blender.[1][2]

Memòria[modifica]

Els APUs que utilitzin l'arquitectura Zen també suportaran HBM.[32][33] Els acceleradors previs d'AMD havien de confiar amb les controladores de memòria tradicionals DDR3/DDR4, però Zen suportarà, a més d'HBM, DDR4 (fins a 8 canals).

Consum de potència i emissió de calor[modifica]

Els processadors construïts amb FinFET de 14nm haurien de mostrar una reducció del consum de potència i, per tant, també una reducció de l'emissió de calor respecte els seus predecessors de 32 i 28 nm, o almenys, ser més potents computacionalment amb una emissió de calor i consum d'energia equivalents.

Productes[modifica]

L'arquitectura Zen s'espera que s'utilitzi a futurs processadors FX per a Desktop, futurs processadors Opteron per a servidors i futures APUs.[34][35]

S'esperava que els primers processadors per a Desktop sense gràfica, coneguts com a Summit Ridge, sortissin al mercat a finals de 2016, seguint-los així els APUs Raven Ridge ja al 2017.[36] AMD va anunciar el seu endarreriment fins al 2017 a l'agost de 2016, a la presentació en directe d'un processador Summit Ridge de 8 cores/16 threads a 3 GHz.[2]

Referències[modifica]

  1. 1,0 1,1 «AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017». Ars Technica, 18-08-2016 [Consulta: 18 agost 2016].
  2. 2,0 2,1 2,2 «AMD gives us our first real moment of Zen». Tech Report, 18-08-2016 [Consulta: 18 agost 2016].
  3. Brad Chacos. «AMD Zen-based CPUs and APUs will unify around Socket AM4». PCWorld, 08-01-2016.
  4. «Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot». techspot.com. [Consulta: 12 maig 2015].
  5. «AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1». techreport.com. [Consulta: 12 maig 2015].
  6. Anton Shilov «AMD: ‘Bulldozer’ was not a game-changer, but next-gen ‘Zen' will be». KitGuru, 11-09-2014 [Consulta: 1r febrer 2015].
  7. «AMD Zen Confirmed for 2016, Features 40% IPC Improvement Over Excavator». Arxivat de l'original el 2016-03-04. [Consulta: 15 desembre 2016].
  8. Jiménez, Daniel. «Strided Sampling Hashed Perceptron Predictor». Texas A&M University.
  9. Williams, Chris. «'Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain».
  10. Lilly, Paul. AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017, 23 juliol 2013. «Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process» 
  11. 11,0 11,1 «14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading». Softpedia, 28-01-2015 [Consulta: 31 gener 2015].
  12. «AMD's Zen core (family 17h) to have ten pipelines per core».
  13. «AMD Zen Microarchitecture». Anandtech, 18-08-2016 [Consulta: 18 agost 2016].
  14. «Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core». YouTube, 07-05-2014.
  15. «Jim Keller Leaves AMD». Anand tech. [Consulta: 14 octubre 2015].
  16. Ryan Smith. «AMD's 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out». AnandTech, 06-05-2015.
  17. «AMD set to release first ‘Zen'-based microprocessors in late 2016 – document». , 12-06-2015 [Consulta: 30 agost 2015].
  18. «GlobalFoundries announces 14nm validation with AMD Zen silicon». ExtremeTech.
  19. «OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found». Arxivat de l'original el 2015-11-04. [Consulta: 15 desembre 2016].
  20. Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node. 
  21. «AMD Officially Diversifies 14nm Manufacturing With Samsung». Forbes, 25-07-2016 [Consulta: 26 juliol 2016].
  22. «AMD's next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support». ExtremeTech.
  23. «Reports: Chip made by GlobalFoundries beats Intel». Times Union, 22-08-2016 [Consulta: 22 agost 2016].
  24. «Confirmed: GlobalFoundries will manufacture AMD's mobile, low-power Polaris GPUs». ExtremeTech, 07-01-2016 [Consulta: 22 agost 2016].
  25. «AMD: We have taped out our first FinFET products». KitGuru.
  26. «CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell». The Inquirer. Arxivat de l'original el 2014-01-09. [Consulta: 15 desembre 2016].
  27. «Intel Kaby Lake to compete against AMD Zen at end of 2016», 02-03-2016. [Consulta: 7 març 2016]. «Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth quarter.»
  28. Edward Jones, AMD Zen: A serious challenge to Intel?, retrieved on 27 June, 2106. Channel Pro
  29. «AMD Briefly Shows Off Zen "Summit Ridge" Silicon». , 31-05-2016 [Consulta: 7 juny 2016].
  30. Moammer, Khalid. «AMD Announces Zen, 40% IPC Improvement Over Excavator - Coming In 2016» (en anglès americà), 06-05-2015. [Consulta: 28 desembre 2020].
  31. Ian Cutress. «IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates».
  32. «[http://wccftech.com/xbox-one-may-be- getting-a-new-apu-based-on-amds-polaris-architecture/ AMD Zen Raven Ridge APU Features HBM, 128GB/s Of Memory Bandwidth & Large GPU]». WCCFTech.
  33. «AMD x86 Zen Based Raven Ridge APUs To Be Made of GloFo’s 14nm Process – Amkor To Package Next Generation HBM APUs». WCCFTech.
  34. «AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards». Tech Times.
  35. «32-core AMD Opteron to feature quad-die MCM design». KitGuru.
  36. German-language; see AMD roadmap in the middle of the article http://www.pcgameshardware.de/CPU-Hardware-154106/Specials/Roadmap-CPUs-Prozessoren-Liste-AMD-Intel-1130335/

Vegeu també[modifica]