Icarus Verilog

De la Viquipèdia, l'enciclopèdia lliure
Icarus Verilog

La finestra GTKWave amb formes d'ona d'exemple senzill de l'article d'Icarus Verilog a la Viquipèdia ucraïnesa Modifica el valor a Wikidata
Tipusprogramari lliure Modifica el valor a Wikidata
Versió estable
12.0 (11 juny 2023) Modifica el valor a Wikidata
LlicènciaGNU GPL 2.0 o posterior Modifica el valor a Wikidata
Característiques tècniques
Sistema operatiuLinux Modifica el valor a Wikidata
Escrit enC++ Modifica el valor a Wikidata
Més informació
Lloc webiverilog.icarus.com Modifica el valor a Wikidata
SourceForgeiverilog Modifica el valor a Wikidata
Free Software DirectoryIcarus_Verilog Modifica el valor a Wikidata

Icarus Verilog és una implementació del compilador de llenguatge de descripció de maquinari Verilog que genera llistes de xarxa en el format desitjat (EDIF) i un simulador. Admet les versions 1995, 2001 i 2005 de l'estàndard, parts de SystemVerilog i algunes extensions.[1]

Icarus Verilog està disponible per a Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows i Mac OS X. Llançat sota la Llicència Pública General de GNU, Icarus Verilog és programari lliure, una alternativa al programari propietari com el Verilog-XL de Cadence.[2]

A partir de la versió 0.9, Icarus es compon d'un compilador Verilog (incloent un preprocessador de Verilog) amb suport per a plug-in backends i una màquina virtual que simula el disseny. Per visualitzar les formes d'ona, es pot utilitzar un programa com GTKWave. La versió v10.0, a més de millores generals i correccions d'errors, va afegir suport preliminar per a VHDL, però el suport de VHDL s'ha abandonat a partir del 2018.[3]

Història[modifica]

Ni tan sols l'autor recorda ben bé quan es va iniciar el projecte, però els registres de CVS es remunten al 1998. Hi ha hagut versions 0.2 fins a la versió estable actual 10.0.

El desenvolupament d'Icarus Verilog el realitza principalment l'únic autor habitual, Stephen Williams. S'han aportat algunes porcions no trivials com a pedaços acceptats.[4]

Referències[modifica]

  1. «Icarus Verilog for Windows» (en anglès). [Consulta: 6 abril 2024].
  2. «Getting Started With Icarus Verilog — Icarus Verilog documentation» (en anglès). [Consulta: 6 abril 2024].
  3. «steveicarus/iverilog» (en anglès), 05-04-2024. [Consulta: 6 abril 2024].
  4. «Icarus Verilog — Icarus Verilog documentation» (en anglès). [Consulta: 6 abril 2024].